ebook img

Power-Efficient Continuous-Time Incremental Sigma-Delta Analog-to-Digital Converters PDF

201 Pages·2015·14.45 MB·English
by  
Save to my drive
Quick download
Download
Most books are stored in the elastic cloud where traffic is expensive. For this reason, we have a limit on daily download.

Preview Power-Efficient Continuous-Time Incremental Sigma-Delta Analog-to-Digital Converters

Power-Efficient Continuous-Time Incremental Sigma-Delta Analog-to-Digital Converters SHA TAO Doctoral Thesis Information and Communication Technology KTH Royal Institute of Technology Stockholm, Sweden 2015 TRITA-ICT/MAP AVH Report 2015:03 KTH School of Information and ISSN 1653-7610 Communication Technology ISRN KTH/ICT-MAP/AVH-2015:03-SE SE-164 40 Kista ISBN 978-91-7595-507-0 SWEDEN Akademisk avhandling som med tillstånd av Kungl Tekniska högskolan framlägges tilloffentliggranskningföravläggandeavteknologiedoktorsexameniInformations- och Kommunikationsteknik måndag den 18 maj 2015 klockan 13.00 i Sal C, Elect- rum 229, Isafjordsgatan 22, Kungl Tekniska högskolan, Kista 164 40, Stockholm. © Sha Tao, May 2015 Tryck: Universitetsservice US AB iii For my family v Abstract Over the past decade, there has been a growing interest in the devel- opment of integrated circuits (ICs) for wearable or implantable biosensors, aimingatprovidingpersonalizedhealthcareservicesandreducingthehealth- care expenses. In biosensor ICs, the analog-to-digital converter (ADC) is a key building block that acts as a bridge between analog signals and digital processors. Since most of the biosensors are attached to or implanted in hu- man bodies and powered by either portable batteries or harvested energy, ultra-low-power operation is often required. The stringent power budget im- poseschallengesindesigningpower-efficientADCs,especiallywhentargeting high-resolution. Among different ADC architectures, the Sigma-Delta (Σ∆) ADC has emerged as the most suitable for low-power, high-resolution appli- cations. This thesis aims to enhance the power efficiency of continuous-time (CT) incremental Σ∆ (IΣ∆) ADCs by exploring design techniques at both architectural and circuit levels. The impact of feedback DACs in CT IΣ∆ ADCs is investigated, so as to provide power-efficient feedback DAC solutions, suitable for biosensor ap- plications. Different DAC schemes are examined analytically considering the trade-off between timing error sensitivity and power consumption. The an- alytical results are verified through behavioral simulations covering both the conventionalandincrementalΣ∆modes. Additionally,byconsideringatypi- calbiosensorapplication,differentfeedbackDACsarefurthercompared,aim- ing to offer a reference for selecting a power-efficient DAC scheme. Atwo-stepCTIΣ∆ADCisproposed,analyzed,implementedandtested, with the objective of offering flexible and power-efficient A/D conversion in neural recording systems. By pipelining two CT IΣ∆ ADCs, the pro- posed ADC can achieve high-resolution without sacrificing the conversion rate. Power-efficient circuits are proposed to implement the active blocks of the proposed ADC. The feasibility and power efficiency of the two-step CT IΣ∆ADCarevalidatedbymeasurementresults. Furthermore,enhancement techniques from both the architecture and circuit perspectives are discussed and implemented, which are validated by post-layout simulations. A comparative study of several CT IΣ∆ ADC architectures is presented, aimingtoboostthepowerefficiencybyreducingthenumberofcyclespercon- version while benefiting from the advantage of CT implementation. Five CT IΣ∆ADCarchitecturesareanalyzedandsimulatedtoevaluatetheireffective- ness under ideal conditions. Based on the theoretical results, a second-order CTIΣ∆ADCandanextended-rangeCTIΣ∆ADCareselectedasimplemen- tation case studies together with the proposed two-step CT IΣ∆ ADC. The impact of critical circuit non-idealities is investigated. The three ADCs are thenimplementedandfabricatedonasinglechip. Experimentalresultsreveal that the three prototype ADCs improve considerably the power efficiency of existing CT IΣ∆ ADCs while being very competitive when compared to all types of the state-of-the-art IΣ∆ ADCs. Keywords: analog-to-digital conversion, sigma-delta modulation, incre- mental ADC, two-step ADC, continuous-time, biosensor applications. Acknowledgments "Education is what remains after one has forgotten what one has learned in school." - Albert Einstein - Iwouldliketoexpressmyfirstandforemostgratitudeforthisworktomyadvi- sor,Prof. AnaRusu. Sheintroducedmetothemagicworldofsigma-deltawithher extraordinarypassionandprofoundunderstandingofit. Iamsincerelyhonoredfor havingthechancetoworkonthistopicunderhersupervision. Itreasureandenjoy all the inspiring discussions with her, from which I gradually learn to appreciate the beauty of sigma-delta data converters that were "intimidating" and complexed to me. I am deeply indebted to her for many things: her generosity in devoting her valuable time to discuss my immature ideas, her patience in correcting my im- mature manuscripts, and her acceptance to my immaturity. Life is filled with ups and downs, and so is the journey of pursuing a Ph.D. She always has confidence in me even sometimes I lost it myself. She cheered me up when I was trapped with setbacks and obstacles, and gave her best to suggest me possible solutions. On the other hand, I am especially grateful for her frank comments and criticisms that helped me improving my work and growing up. I could write the entire thesis about my appreciation and respect towards her. To make it short, without her ex- traordinary guidance and endless support that have been encouraging, motivating, and inspiring me all along the journey, this thesis work would not be possible. I would like to express my gratitude to my co-advisor, Dr. Saul Rodriguez, for mentoring and taking care of me ever since I did my Master thesis in 2009. During the past six years, he has been always backing me up and providing me continuous supportandincredibleguidance,bothtechnicalandpersonal. Iwouldnot"survive" thePh.Dwithouthishelp. Myappreciationalsogoestomyco-advisorDr. Martin Gustafsson from Maxim Integrated, who gave me many valuable advices regarding chipimplementationandmeasurementissues. Martinalsohelpedmegoingthrough themostdifficultperiodduringthemeasurement. Iamespeciallythankfulforthat. I am indebted to Dr. Julian Garcia for letting me standing on the shoulders of giants. Julian guided me at the start-up and gave me numerous advices/tips after. Ihavealsobenefiteda lotfromthe"legacy"heleft. Withoutthem, thereisno way that I can finish my Ph.D. within three years. A special thank to Jiazuo Chi. I am vii viii very lucky to be his Master thesis supervisor, and it is my great pleasure to work with him. I wish Jiazuo all the best for his Ph.D. study at the University of Ulm. Iwouldliketothankthecurrentmembersoftheintegratedcircuitsandsystems groupfortheircontinuousencouragementandsupport. Icouldn’tbeluckiertohave JankoKaticasmyofficemateinthepast2-year-and-half. Iamverygratefulforhis supportbothtechnicalandemotionalthathelpedandencouragedmeincompleting this work. Tingsu Chen, for her daily support and chats as well as her help and encouragement all these years. Nikola Ivanišević, Muhammad Waqar Hussain, and PanagiotisChaourani,forthegoldentimewespenttogetherandfortheirkindhelp in proofreading my thesis and manuscript. I would also acknowledge the former membersandvisitingresearchersofthegroupwithwhomIhadthechancetowork andsharedgoodmoments,especially,myformerofficemateVasileiosManolopoulos, Prof. Mohammed Ismail, Prof. Panagiotis Papadimitratos, Prof. Eduard Alarcon, Dr. Raul Onet, Ying Song, and Milad Razzaghpour. My appreciation goes to Prof. Mikael Östling and Prof. Carl-Mikael Zetterling (Bellman) for their excellent management, which creates an enjoyable working en- vironment in the department. I would also like to thank Gunilla Gabrielsson, our departmentadministrator,forallthehelpandsupportsheprovidedtome. Special thanks to Dr. Shi Cheng, for providing me many career advices and other interest- ing conversations. My gratitude goes to our "neighbor" Doc. Henry Radamson for his gentleness and many good talks with us. My appreciation also goes to Bengt Molin for his advice and help in PCB fabrication and component orders. I would like to extend my gratitude to my other colleagues at EKT who made mytimeatworkmoreenjoyable. YeTian(William),forsharinglotsofopinionsand discussions on continuous-time sigma-delta designs and his daily support. Babak Taghavi,RahelehHedayati,andSalehKargarraziforthediscussionsontheCadence tools,analogcircuitdesign,andotherconversations. Dr. JiantongLi,Dr. Yonhbin Wang, Anders Eklund, Ganesh Jayakumar, Maryam Olyaei, Dr. Maziar Naiini, and Dr. Luiga Lanni (Gina) for their support during my stay at the department. Gracious appreciation goes to Dr. Håkan Bengtsson, Mikael Pettersson, and GitiAmozandehfromEricssonABfortheusefuldiscussionsandconstructivecom- ments during my design review. I am especially thankful to Giti for her valuable suggestions on continuous-time sigma-delta design and measurement. I would like tothankUweKoppfromTexasInstrumentfortheinterestingdiscussionsonband- pass continuous-time sigma-delta modulators and his kind help in providing the evaluation boards and components for the measurement. Agilent Technologies is also acknowledged for supplying the lab equipment for the chip characterization. It is a great honor for me to have Prof. Franco Maloberti from the University of Pavia as the opponent at my defense. I am also grateful to the members of the grading committee, Prof. Susana Patón Álvarez from the University Carlos III of Madrid,Prof. AtilaAlvandpourfromLinköpingUniversity,andProf. KjellJeppson from Chalmers University of Technology. I would like to thank Prof. Ahmed Hemani from KTH for kindly accepting the role of substitute committee member. Special thanks to Prof. Gunnar Malm, for his valuable comments and constructive ix suggestionsduringmyPh.D.proposalseminaraswellaswhenreviewingthisthesis. I gratefully acknowledge the Swedish Research Council (VR) for funding this research. I would like to thank the Hans and Nils Backmark travel stipend for the financial support of my conference trip. I am very grateful to the EURO- DOTs program under the EC Framework 7 Support Action for granting me the scholarships to attend two fantastic summer courses at EPFL, Lausanne. Thanks to EURO-DOTs, I got the great chance to listen to the lectures given by several top-class experts in the field, such as Prof. Willy Sansen and Dr. Richard Schreier and meet many analog IC designers working in the industry. IwouldliketothankthepeopleiniPackcenter,fortheirkindsupportduringmy measurement, particularly, Dr. Qiang Chen, Dr. Zhuo Zou, and Dr. Geng Yang. Also, I would like to thank Zhuo Zou for sharing with us many great moments playing the PES game and dining together. Many thanks to Prof. Mark Smith for his patient help in using the PCB proto- typingmachine. MyappreciationalsogoestoDr. FredrikJonssonforsharingwith us his tape-out and engineering experience during his Ph.D. course on EDA tools. Special thanks to my friends at Electrum and in Stockholm for their friendship andthegreatmomentswesharedtogether,particularly,Dr. XiChen,Dr. Xiaodan Pang, Qin Zhou, Jue Shen and Dr. Liang Rong, Ning Ma, Chuanying Zhai, and Dr. Yi Feng. Anja Djuric, Janko’s sweet girlfriend, thank you for being supportive and sharing a lot of good moments with us during the leisure time. My friend since childhood Ran Bi, and her husband Yu Wang, for the relaxing time we spent together. Dr. Yeyu Fang and Chen Hu, for sharing the two-year joyful lunch time. IwouldliketopaymytributetothemagnificentSystem-on-Chip(SoC)Master program that brought me to Stockholm in 2007 and changed my life trajectory. Duringthepastdecade, ithasnurturedgenerationsofexcellentengineersanddoc- toralstudents. Iamsoproudofbeingpartofit. ManythankstomySoCclassmates for making me feel at home since the first day I came to Sweden, especially, my roommates Ye Xu and Yichan Lu, as well as Huayu Yang and Yan Bao. My appreciation goes to the people from my home university BJTU in Beijing. Particularly,myBSc. thesisadvisor,Prof. ZhangfaLiuwhoshowedmethebeauty of RFIC design and has been supportive all along this journey, Prof. Zheying Li for introducing me to the fascinating world of IC design, and Prof. Yuntong Wang whoencouragedmetoenjoygivingpresentations. Manythankstomyfriends/allies from my BSc. study who have also been supporting me during these years. My deepest and heartfelt gratitude goes to my family members who love me unconditionally. Mom and dad, who raise me up and root for me, for their endless love. My parents-in-law and my uncle and aunt, for their continuous support. My twograndmas,fortheirloveandcaring. Mytwograndpas,whopassedawayduring myPh.D.study,maytheyrestinpeace. Thisthesisisespeciallydedicatedtothem. Ultimately, I would like to express my love to my husband Jia Mao, who has been standing by me in the past eight years. You shared your shoulders for me to cry on during those tough moments and provided me the strength to endure the difficulties. You are the reason I am. With you, I will never walk along.

Description:
Among different ADC architectures, the Sigma-Delta (Σ∆) the past six years, he has been always backing me up and providing me continuous (Bellman) for their excellent management, which creates an enjoyable working en- .. 3.9 Simulated SNDR versus amplifier's finite GBW (normalized), GBW.
See more

The list of books you might like

Most books are stored in the elastic cloud where traffic is expensive. For this reason, we have a limit on daily download.