ebook img

Sputtering Materials for VLSI Thin Film Devices PDF

604 Pages·2010·53.802 MB·English
by  
Save to my drive
Quick download
Download
Most books are stored in the elastic cloud where traffic is expensive. For this reason, we have a limit on daily download.

Preview Sputtering Materials for VLSI Thin Film Devices

Sputtering Materials for VLSI and Thin Film Devices Sputtering Materials for VLSI and Thin Film Devices Jaydeep Sarkar AMSTERDAM(cid:129)BOSTON(cid:129)HEIDELBERG(cid:129)LONDON NEWYORK(cid:129)OXFORD(cid:129)PARIS(cid:129)SANDIEGO SANFRANCISCO(cid:129)SINGAPORE(cid:129)SYDNEY(cid:129)TOKYO WilliamAndrewisanimprintofElsevier WilliamAndrewisanimprintofElsevier 225WymanStreet,Waltham,02451,USA TheBoulevard,LangfordLane,Kidlington,OxfordOX51GB,UK Firstedition2014 Copyrightr2014ElsevierInc.Allrightsreserved Nopartofthispublicationmaybereproducedortransmittedinanyformorbyanymeans,electronicor mechanical,includingphotocopying,recording,oranyinformationstorageandretrievalsystem,without permissioninwritingfromthepublisher.Detailsonhowtoseekpermission,furtherinformationaboutthe Publisher’spermissionspoliciesandarrangementswithorganizationssuchastheCopyrightClearanceCenter andtheCopyrightLicensingAgency,canbefoundatourwebsite:www.elsevier.com/permissions. ThisbookandtheindividualcontributionscontainedinitareprotectedundercopyrightbythePublisher (otherthanasmaybenotedherein). Notice Knowledgeandbestpracticeinthisfieldareconstantlychanging.Asnewresearchandexperiencebroaden ourunderstanding,changesinresearchmethods,professionalpractices,ormedicaltreatmentmaybecome necessary. Practitionersandresearchersmustalwaysrelyontheirownexperienceandknowledgeinevaluatingandusing anyinformation,methods,compounds,orexperimentsdescribedherein.Inusingsuchinformationormethods theyshouldbemindfuloftheirownsafetyandthesafetyofothers,includingpartiesforwhomtheyhavea professionalresponsibility. Tothefullestextentofthelaw,neitherthePublishernortheauthors,contributors,oreditors,assumeany liabilityforanyinjuryand/ordamagetopersonsorpropertyasamatterofproductsliability,negligenceor otherwise,orfromanyuseoperationofanymethods,products,instructions,orideascontainedinthematerial herein. LibraryofCongressCataloging-in-PublicationData AcatalogrecordforthisbookisavailablefromtheLibraryofCongress BritishLibraryCataloguinginPublicationData AcataloguerecordforthisbookisavailablefromtheBritishLibrary ISBN:978-0-8155-1593-7 ForinformationonallElsevierpublications visitourwebsiteatelsevierdirect.com PrintedandboundintheUSA 14 15 16 17 10 9 8 7 6 5 4 3 2 1 Preface Thehighesteducationisthatwhichdoesnotmerely giveusinformationbutmakesourlife inharmonywithallexistence. RabindranathTagore Sputtering is one of the processes for depositing thin films on suitable substrates. The funda- mentals of sputtering methods are well understood and related topics have been reviewed exten- sively in journal articles and book chapters. The source material for sputter deposition of thin films is called the sputtering target, which can be made using conventional metallurgical processes or using special processing techniques depending on the requirements of a particular application. Sputtering Materials for VLSI and Thin Film Devices is aimed at correlating the physics of sputter- ing, the characteristics of sputtering target, chamber design and thin film properties in an integrated manner tounderstand technologically-important manufacturingprocesses. The idea of writing this book in such an important inter-disciplinary area was conceived during my long and close interaction with various industry personnel (technicians, process engineers, scientists) and university researchers from multiple disciplines who did not have access to such a book.Some elaborationis requiredtojustifythetitleofthebookwhich mayappear unconventional in some sense. The term sputtering materials has been used to encompass both technologically- important materials for sputtering in the form of targets and sputter deposited thin films to keep the title of the book short, although in practice majority of the solid materials can be sputtered under suitable conditions. The preparation of this book involved review of not only journal articles and textbooks, but a large number of patents, advertisement materials and reports available in the open literature. Attempts have been made to use a large number of references for further reading and, in particular, readers from university setting are encouraged to read patents to appreciate commer- cially-important innovations. The content of the book can be divided into two sections. The first section (Chapters 1 to 3) has been prepared for the readers from a range of disciplines (e.g. electrical, chemical, chemistry, phys- ics)foraninsightintouseofsputteredfilmsinvariousdevices(e.g.semiconductors,displays,photo- voltaic, data storage), the basics of sputtering and performance of sputtering targets in relation to productivity. The second section (Chapters 4 to 8) has been prepared for readers who already have backgroundknowledgeofsputterdepositionofthinfilms,materialsscienceprinciples,andareinter- ested in the details of sputtering target manufacturing methods, sputtering behavior and thin film propertiesspecifictosemiconductors,liquidcrystaldisplays,photovoltaicandmagneticdatastorage applications. In Chapters 5 to 8, a general structure has been used, i.e. a description of the applica- tions of sputtered thin films, sputtering target manufacturing methods (including flow charts), sputteringbehavioroftargets(e.g.current(cid:1)voltagerelationship,depositionrate)andthinfilmprop- erties (e.g. microstructure, stresses, electrical properties, in-film particles). While discussing these topics, attemptshave beenmadetoinclude examplesfromtheactualcommercialprocessestohigh- lighttheincreasedcomplexityoftheprocesseswiththegrowthofadvancedtechnologies.Inaddition x Preface topersonnelworkinginindustrysetting,universityresearcherswithadvancedknowledgeofsputter- ing will also find discussion of such topics (e.g. attributes of target design, chamber design, target microstructure,sputtersurfacecharacteristics,varioustroubleshootingissues)useful. It is worthwhile to mention that the discussions of semiconductor and insulator materials in Chapter 1 is not aimed at teaching the physics of it, but to emphasize the more common sputtering of conducting materials in the light of less common sputter deposition of semiconductor and insu- latingthinfilms.Also,thediscussionofdevicephysics,fundamentalsofthinfilmgrowthandcharac- terization techniques are beyond the scope of this book. However, appendices on Thin film properties, Crystallographic texture and Metallography of samples have been made available in this book’scompanionwebsitefoundatbooksite.elsevier.com/9780815515937.Everybookhasitsweak- ness and in this case limitations have to do with (a) more examples presented from cases involving DC magnetron sputtering and semiconductor industry processes and (b) occasional use of results fromtheworkofasingleresearcher (cid:1)eitherbecauseofthegoodqualityofthedataormorerecent work or unavailability of enough literature on a specific topic. I hope that all attempts of reducing errors, omissions and inconsistencies in a book of this nature (600 pages with 566 illustrations) was successful.Iwelcomeconstructivecommentsandsuggestiononthecontentofthebook. I am indebted to my friends, colleagues and also to the management team of Praxair Inc. for their encouragement and support during the preparation of the book. In particular, I would like to thank Chi-Fung Lo, Professor Bikramjit Basu, Biswajit Choudhury and Samit Chakrabarty for their help with literature survey. Thanks are due to Peter McDonald and John Portanova for sharing their knowledge of commercial sputter tool operation and maintenance. I am grateful to Rajan Mathew and Paul Gilman for reading the manuscript and making valuable suggestions. I would also like to thank the publishing team, in particular Senior Publisher Matthew Deans of Elsevier and Melissa Read, who have been constant source ofencouragement and assistance. This book is dedicated to all my teachers and late Professor Sanjay K. Biswas, former Dean of Engineering at the Indian Institute of Science, Bangalore for their contributions to my development asa MaterialsScientist. CHAPTER 1 Sputtering Targets and Sputtered Films for the Microelectronic Industry 1.1 Materials for microelectronics 1.1.1 Introduction Themicroelectronicindustryarguablyusesawidevarietyofmaterialsintheformofsolid,liquid,gas and gas plasma in microelectronic device components and for the processing of devices. Figure 1.1 showstheseelementsintheperiodictable.Choicesformaterialselectionaremadebasedontheappli- cations [1]. With the exception of actinides (the bottom-most row) and a few other unstable and less commonelements,mostoftheelementsareusedinmicroelectronicsindustry.Alargenumberofsuch elements and their alloys are used in microelectronics devices in the form of thin films [2(cid:1)20]. Sputtering is one of the techniques for depositing such thin films in which atoms are ejected from a sourcematerialbyusingenergeticparticlesinsideachamber[4,6,14].Inprinciple,themajorityofsolid materialscanbesputteredundersuitableconditionstoformthinfilms.Chapter2reviewsvarioussput- tering methods for depositing thin films and device technology driven evolution of sputtering equip- ment (also known as sputtering tools). Sputtering can also be used to remove a thin layer of material fromasubstrateandthisapplicationofsputteringisoutofthescopeofthisbook. The primary focus of this book is to discuss metallurgy and material science of sputtering mate- rials that are technologically important. These include both: (a) bulk source materials, known as sputtering targets, and (b) deposited thin films for microelectronic applications. Sputtering target can be a conductor, semiconductor or an insulator. Conductivity is one of the key parameters that contrast these three types of solids. As one would expect, a small section of a chapter of this nature has limited scope to cover this subject. Hence, the following sections will only summarize the gen- eral characteristics of conduction in conductors, semiconductors and insulators. Afterwards, discus- sion has been shaped in such a way that readers eventually arrive at those technologically important materials that are sputtered to form thin films for making commercial device such as integrated circuits (ICs), displays, magnetic and optical data storage systems, photovoltaic solar cell and so forth [2(cid:1)20]. As discussion progresses, readers will note that sputtering is primarily used to deposit metal-based conductors and not so much for semiconductor and insulators (with some excep- tions).Itisimportantforreaderstonotethat,inmanycases,bythetimethinfilmsaresputterdeposited onasubstrate,asignificantamountofinvestmenthasalreadybeendoneforsubstratepreparation.Asa result, any failure at the sputter deposition stage will reduce the overall yield and productivity of the J.Sarkar:SputteringMaterialsforVLSIandThinFilmDevices.DOI:http://dx.doi.org/10.1016/B978-0-8155-1593-7.00001-1 1 ©2014ElsevierInc.Allrightsreserved. 2 CHAPTER 1 Sputtering Targets and Sputtered Films for Microelectronics IA VIIIA Dopant Data storage Superconductors H IIA IIIA IVA VA VIA VIIA He Semiconductor Processing Li Be B C N O F Ne Contact Insulators Na Mg IIIB IVB VB VIB VIIB VIII VIII VIII IB IIB Al Si P S Cl Ar K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe Cs Ba La Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn Fr Ra Ac Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb Lu Lanthanide Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No Lw Actinide FIGURE1.1 Periodictableshowingelementsandtheirapplicationsinmicroelectronicindustry[1]. process. For example, in a complementary metal oxide semiconductor (CMOS) chip manufacturing process, by the time a cobalt or nickel film is sputter deposited prior to the silicide formation, active regionshavealreadybeenformedonthesiliconwaferwithsignificantinvestment. 1.1.1.1 Electrical conductivity The most common approach to distinguishing conductors, semiconductors and insulators for micro- electronic applications is based on their electrical conductivity (reciprocal of resistivity) values. Electrical conductivity (σ)of amaterialis given bythe equation σ5n:q:μ (1.1) where n is the number of carriers, q is the charge and μ is the mobility of the carriers. While con- ductorshavehighelectricalconductivity(104(cid:1)106ohm21cm21)insulatorshavelowelectricalcon- ductivity (#10215ohm21cm21) and semiconductors have intermediate electrical conductivity (1026(cid:1)103ohm21cm21).These boundariesare notrigid and acertain degreeofoverlap ispossible between these sets of values. In general, the electrical conductivity of the conductors shows a slight but gradual drop with increasing temperature. This is because n is large for conductors and essen- tially remains unchanged with temperature. Similarly, q remains constant but the mobility term μ decreases slightly with increasing temperature. The mobility of the carriers decreases because of the collision between moving electrons and the phonons (lattice vibrations). Silver, copper and gold are among the best electrical conductors. Transition metals such as iron and nickel are not as good conductors as above metals. However, for semiconductors and the insulators, n increases dramati- cally with temperature unlike conductors which outweighs the slight decrease in the mobility term. As a result, electrical conductivity ofsemiconductors increases rapidly with temperature. 1.1 Materials for microelectronics 3 Table1.1 ElectricalConductivityofSelectedBulkMaterials Materials Conductivity(Ohm21cm21) Metals Silver(Ag) 6.803105 Copper(Cu) 5.983105 Gold(Au) 4.263105 Aluminum(Al) 3.773105 Nickel(Ni) 1.463105 Iron(Fe) 1.003105 Semiconductors Silicon(Si) 5.0031026 Germanium(Ge) 2.0031022 Insulators Silicaglass 10217 Alumina(Al O ) 10214 2 3 Siliconcarbide(SiC) 1021to1022 Boronnitride(BN) 10213 Table 1.1liststhe electrical conductivityofsomeofthe commonmaterialsat roomtemperature. Figure1.2showsthevariationofelectricalconductivityinaluminumandgermaniumwithtempera- ture. In fact, insulators are extreme state semiconductors when n is small at normal temperature. In the following sections, a cursory review of the principles of conduction in solids (conductors, semi- conductors and insulators) has been presented prior to the discussion of various devices that inte- grate such materials. Finally, the discussion leads to those conductors, semiconductors and insulators that are sputtered toform films for device fabrication. The electronic behavior of solids can be described in terms of band theory or zone theory. The band theory of solids is well supported by the spectroscopic data and the two independent theoreti- cal approaches, namely the chemical approach and physical approach. In the chemical approach to band theory, molecular orbital theory is applied to small and finite molecules and this treatment is extended to infinite and three-dimensional structures. The physical approach to band theory consid- ers the energy and the wavelength of electrons in a solid. From either theory, one obtains a model with bands of energy levels for the valence band (the outermost energy band of an atom that is fully or partially filled) electrons and conduction band (the band that is above the valence band and is emptyat 0K) electrons. Figure 1.3 shows such bands and forbidden energy regions known asband gap. Metals are good examplesof conductorsthat have partially filled energy bands. As showninFigure1.3(a), inacon- ductor, conduction and valence bands overlap. A small electric field is adequate to induce conduc- tion or current flow. Semiconductors typically have small band gap (,3.0eV). As shown in Figure 1.3(b), the most popular semiconductor silicon has band gap energy of 1.11eV at 300(cid:3)K. 4 CHAPTER 1 Sputtering Targets and Sputtered Films for Microelectronics 106 Aluminum –1) 105 Reducing m c mobility 1. – m 100 h O y ( ctivit 10.0 Germanium u d n o al c 1.00 Increasing charge c carriers ctri Ele 0.10 0.01 0 100 200 300 400 Temperature (°C) FIGURE1.2 Variationofelectricalconductivityinaluminum(Al)andgermanium(Ge)withtemperature. FIGURE1.3 Characterizationofconductor,semiconductorandinsulatingmaterialsusingbandgap.Solidswithbandgap between0.5and3.0eVareknownassemiconductors.HereSiisshownasarepresentativesemiconductor. Materialswithmorethan2eVbandgapareknownasinsulators. 1.1 Materials for microelectronics 5 This is 1.21eV at 0(cid:3)K. Energies ofthis magnitude cannot usually beachieved from applied electric field to induce conduction. Table 1.2 lists band gap energy values of various industrially important semiconductors. Insulators have very wide band gap (.3.0eV), as shown in Figure 1.3(c). Energy of this magnitude cannot be obtained from an external electric field. In-depth discussions of this subject can be found in books devoted to solid state physics [21,22]. In the following sections, some important properties of conductors, semiconductors and insulators and methods of thin film depositionfor microelectronic applicationsare summarized. Table1.2 BandGapWidthandtheNatureofSemiconductorMaterialsat300K Semiconductor BandGap(eV) Type Element Silicon(Si) 1.11 Indirect Germanium(Ge) 0.66 III-VCompounds Galliumarsenide(GaAs) 1.43 Direct Galliumphosphide(GaP) 2.26 Indirect GaSb 0.72 Direct InAs 0.36 Direct Indiumphosphide(InP) 1.35 Direct InSb 0.17 Direct AlAs 2.16 Indirect AlSb 1.58 Indirect II-VICompounds Cadmiumsulfide(CdS) 2.42 Direct Cadmiumselenide 1.7 Direct Cadmiumtelluride(CdTe) 1.49 Direct ZnS 3.68 Direct ZnSe 2.7 Direct ZnTe 2.2 Direct Copperindiumdiselenide 1.01 Direct (CuInSe ,CIS) 2 Copperindiumgalliumdiselenide 1.0(cid:1)1.7 Direct (CuIn Ga xSe ,x:0(cid:1)1,CIGS) x 1- 2 IV-VICompounds PbS 0.41 Direct PbSe 0.27 Direct PbTe 0.31 Direct SnTe 0.18 Direct Amorphousmaterial Amorphoussilicon(a-Si) 1.6 Direct

See more

The list of books you might like

Most books are stored in the elastic cloud where traffic is expensive. For this reason, we have a limit on daily download.