ebook img

Introduction to Microfabrication PDF

509 Pages·2010·34.089 MB·English
Save to my drive
Quick download
Download
Most books are stored in the elastic cloud where traffic is expensive. For this reason, we have a limit on daily download.

Preview Introduction to Microfabrication

Introduction to Microfabrication Introduction to Microfabrication, Second E dition Sami Franssila © 2010 John Wiley & Sons, L td. ISBN: 978-0-470-74983-8 Introduction to Microfabrication Second Edition Sami Franssila Professor of Materials Science at Aalto University and Adjunct Professor of Micro- and Nanotechnology at University of Helsinki, Finland A John Wiley and Sons, Ltd., Publication Thiseditionfirstpublished2010 ©2010,JohnWiley&Sons,Ltd FirstEditionpublishedin2004 Registeredoffice JohnWiley&SonsLtd,TheAtrium,SouthernGate,Chichester,WestSussex,PO198SQ,UnitedKingdom Fordetailsofourglobaleditorialoffices,forcustomerservicesandforinformationabouthowtoapplyforpermissiontoreusethe copyrightmaterialinthisbookpleaseseeourwebsiteatwww.wiley.com. TherightoftheauthortobeidentifiedastheauthorofthisworkhasbeenassertedinaccordancewiththeCopyright,Designsand PatentsAct1988. Allrightsreserved.Nopartofthispublicationmaybereproduced,storedinaretrievalsystem,ortransmitted,inanyformorby anymeans,electronic,mechanical,photocopying,recordingorotherwise,exceptaspermittedbytheUKCopyright,Designsand PatentsAct1988,withoutthepriorpermissionofthepublisher. Wileyalsopublishesitsbooksinavarietyofelectronicformats.Somecontentthatappearsinprintmaynotbeavailablein electronicbooks. Designationsusedbycompaniestodistinguishtheirproductsareoftenclaimedastrademarks.Allbrandnamesandproductnames usedinthisbookaretradenames,servicemarks,trademarksorregisteredtrademarksoftheirrespectiveowners.Thepublisheris notassociatedwithanyproductorvendormentionedinthisbook.Thispublicationisdesignedtoprovideaccurateand authoritativeinformationinregardtothesubjectmattercovered.Itissoldontheunderstandingthatthepublisherisnotengagedin renderingprofessionalservices.Ifprofessionaladviceorotherexpertassistanceisrequired,theservicesofacompetent professionalshouldbesought. LibraryofCongressCataloguing-in-PublicationData Franssila,Sami. Introductiontomicrofabrication/SamiFranssila.–2nded. p.cm. Includesindex. ISBN978-0-470-74983-8(cloth) 1. Microelectromechanicalsystems.2. Integratedcircuits.3. Semiconductorprocessing.4. Nanotechnology. 5. Microfabrication.I.Title. TK7875.F732010 621.381–dc22 2010010076 AcataloguerecordforthisbookisavailablefromtheBritishLibrary. ISBN:978-0-470-74983-8 Setin9/11ptTimesbyLaserwordsPrivateLimited,Chennai,India PrintedandBoundinMarkonoPrintMediaPteLtd,Singapore Contents PrefacetotheFirstEdition ix Polysilicon,OxideandNitrideThinFilms,Polymer Films,AdvancedThinFilms,Exercises PrefacetotheSecondEdition xiii References andRelated Reading Acknowledgements xv 6 Epitaxy 69 1 Introduction 1 Heteroepitaxy,EpitaxialDeposition,CVD Substrates,ThinFilms,Processes, Dimensions, Homoepitaxyof Silicon,Dopingof Epilayers, Devices,MOSTransistor,CleanlinessandYield, Measurement ofEpitaxialDeposition,Simulationof Industries,Exercises Epitaxy,Advanced Epitaxy,Exercises Referencesand RelatedReading References andRelated Reading 2 Micrometrology andMaterials 7 AdvancedThinFilms 77 Characterization 15 General FeaturesofThin-FilmProcesses, Film MicroscopyandVisualization,Lateral and Vertical Growthand Structure,Thin-FilmStructure Dimensions,Optical Techniques,Electrical Characterization,Surfacesand Interfaces,Adhesion, Measurements, PhysicalandChemical Analyses, Two-Layer Films,AlloysandDopedFilms, Practical IssueswithMicrometrology, MultilayerFilms,SelectiveDeposition,Reacted MeasurementsEverywhere,Exercises Films,SimulationofDeposition,ThicknessLimits Referencesand RelatedReading ofThinFilms,Exercises References andRelated Reading 3 Simulationof MicrofabricationProcesses 29 SimulatorTypes,Levelsof Simulation,The1D 8 PatternGeneration 93 Simulators,The2DSimulators,The3D Simulators, PatternGenerators,ElectronBeamLithography, OtherSimulationNeedsinMicrofabrication, Laser PatternGenerators,PhotomaskFabrication, Exercises PhotomaskInspection,Defectsand Repair, Referencesand RelatedReading PhotomasksasTools,OtherPatternGeneration Methods,Exercises 4 Silicon 35 References andRelated Reading SiliconMaterialProperties,SiliconCrystalGrowth, SiliconCrystalStructure,SiliconWaferingProcess, 9 OpticalLithography 103 Defectsand Non-IdealitiesinSiliconCrystals, LithographyProcessFlow,Resist Chemistry,Resist AdvancedWafers, Exercises Application,Alignmentand Overlay,Exposure, Referencesand RelatedReading ResistProfile,Resolution,ProcessLatitude,Basic PatternShapes, LithographyPractice, Photoresist 5 Thin-FilmMaterialsandProcesses 47 Stripping,Exercises ThinFilmsvs.BulkMaterials,Physical Vapor References andRelated Reading Deposition,ChemicalVapor Deposition,PECVD: Plasma-Enhanced CVD,ALD:AtomicLayer 10 AdvancedLithography 115 Deposition,Electrochemical Deposition(ECD), ProjectionOpticalSystems,ResolutionofProjection OtherMethods,ThinFilmsOverTopography:Step OpticalSystems,Resists,Thin-FilmOpticsin Coverage,Stresses,MetallicThinFilms, Resists,LithographyOver Steps,OpticalExtensions vi Contents ofOpticalLithography,Non-OpticalExtensionof 17 Bonding 191 OpticalLithography,LithographySimulation, BondingBasics, FusionBondingBlanketSilicon LithographyTriangles,Exercises Wafers,AnodicBonding,MetallicBonding, Referencesand RelatedReading AdhesiveBonding,Layer Transfer and Temporary Bonding,Bondingof StructuredWafers, Bond 11 Etching 127 QualityMeasurements, BondingforPackaging, EtchMechanisms, EtchingProfiles,AnisotropicWet Bondingat Large, Exercises Etching,WetEtching,PlasmaEtching(RIE), Referencesand RelatedReading IsotropicDryEtching,Etch Masks,Non-Masked Etching,Multistepand MultilayerEtching,Etch 18 PolymerMicroprocessing 203 ProcessesforCommon Materials,IonBeam PolymerMaterials,PolymerThermal Properties, Etching,EtchProcess Characteristics,Selecting Thick-ResistLithography,MoldingTechniques, EtchProcesses, Exercises HotEmbossing,NanoimprintLithography,Masters Referencesand RelatedReading forReplication,Processingon Polymers,Polymer Bonding,PolymerDevices,Polymer Overview, 12 WaferCleaningandSurface Preparation 143 Exercises ClassesofContamination,ChemicalWet Cleaning, Referencesand RelatedReading PhysicalWet Cleaning,Rinsingand Drying,Dry Cleaning,ParticleRemoval,OrganicsRemoval, 19 GlassMicroprocessing 225 MetalRemoval,ContactAngle,SurfacePreparation, Structureand PropertiesofGlasses, Glass Exercises Substrates,General ProcessingIssueswithGlasses, Referencesand RelatedReading GlassEtching,GlassBonding,GlassDevices, SpecialtyGlasses,Exercises 13 Thermal Oxidation 153 Referencesand FurtherReading Thermal OxidationProcess, Deal–GroveOxidation Model,Oxidationof Polysilicon,OxideStructure, 20 AnisotropicWet Etching 237 Local OxidationofSilicon,Stressand Pattern BasicStructureson <100>Silicon,Etchants,Etch EffectsinOxidation,SimulationofOxidation, MasksandProtectiveCoatings,EtchRateand Etch Thermal Oxidesvs.otherOxides,Exercises Stop,Front-SideProcessed Structures,Convex Referencesand RelatedReading CornerEtching,MembraneFabrication, Through-WaferStructures,<110>Etching,<111> 14 Diffusion 165 SiliconEtching,Comparisonof<100>,<110> DiffusionProcess, DiffusionMechanisms, Doping and<111>Etching,Exercises ofPolysilicon,DopingProfilesinDiffusion, Referencesand RelatedReading DiffusionApplications,Simulationof Diffusion, Diffusionat Large, Exercises 21 DeepReactiveIonEtching 255 Referencesand RelatedReading RIEProcess Capabilities,RIEProcess Physics 15 IonImplantation 173 andChemistry,Deep Etching,Combining TheImplantationProcess, ImplantApplications, Anisotropicand IsotropicDRIE,Microneedlesand ImplantDamage and DamageAnnealing,Toolsfor Nozzles,SidewallQuality,PatternSizeandPattern IonImplantation,IonImplantationSimulation, DensityEffects, Etch Residuesand Damage, DRIE ImplantationFurther,Exercises vs.Wet Etching,Exercises Referencesand RelatedReading Referencesand RelatedReading 16 CMP:Chemical–Mechanical Polishing 181 22 WaferEngineering 271 CMPProcessand Tool,MechanicsofCMP, SiliconCrystals,Gettering,Wafer Mechanical ChemistryofCMP,Non-IdealitiesinCMP, Specifications,EpitaxialWafers,SOI Wafers, MonitoringCMPProcesses, Applicationsof CMP, BondingMechanics, Advanced Wafers,Variety of CMPasaWhole,Exercises Wafers,Exercises Referencesand RelatedReading Referencesand FurtherReading Contents vii 23 SpecialProcesses andMaterials 283 Membranesand Bridges,Stiction,MultipleLayer SubstratesotherthanSilicon,PatternGeneration, Structures,RotatingStructures,HingedStructures, Patterning,Powder Blasting,Deposition,Porous CMOSWafers asSubstrates,Exercises Silicon,MoldingwithLostMold,Exercises References andRelated Reading Referencesand RelatedReading 30 MEMSProcess Integration 387 24 SerialMicroprocessing 299 SiliconMicrobridges,Double-SidedProcessing, Focused IonBeam(FIB)Processing,Focused MembraneStructures,PiezoresistivePressure ElectronBeam(FEB) Processing,Laser Direct Sensor,Tiltingand BendingThrough-WaferEtched Writing,AFM Patterning,InkJetting,Mechanical Structures,NeedlesandTips,ChannelsandNozzles, Structuring,Chemical and Chemomechanical BondedStructures,SurfaceMicromachining MachiningScaled Down,Conclusions, CombinedwithBulkMicromachining,MEMS Exercises Packaging,Microsystems,Exercises Referencesand FurtherReading References andRelated Reading 25 Process Integration 313 31 Process Equipment 409 TheTwoSidesoftheWafer,DeviceExample1: BatchProcessing vs.SingleWafer Processing, SolarCell,DeviceExample2: MicrofluidicSieves, ProcessRegimes: TemperatureandPressure, Cluster Wafer Selection,Masksand Lithography,Design ToolsandIntegratedProcessing,Measuring Rules,Resistors,DeviceExample3:PCRReactor, FabricationProcesses, EquipmentFiguresofMerit, DeviceExample4:IntegratedPassiveChip, SimulationofProcessEquipment,ToolLifecycles, ContaminationBudget,Thermal Processes, CostofOwnership,Exercises Metallization,PassivationandPackaging, References andRelated Reading Exercises Referencesand RelatedReading 32 Equipment forHotProcesses 419 High-TemperatureEquipment:HotWallvs.Cold 26 MOSTransistorFabrication 329 Wall,FurnaceProcesses, RapidThermal PolysiliconGateCMOS,PolysiliconGateCMOS: Processing/RapidThermal Annealing,Furnacesvs. 10μmto1μmGenerations,MOSTransistor Scaling,CMOSfrom0.8μmto65nm,Gate RTPSystems,Exercises References andRelated Reading Module,SOIMOSFETs, Thin-FilmTransistors, IntegratedCircuits,Exercises 33 Vacuum andPlasmas 425 Referencesand RelatedReading Vacuum Physicsand KineticTheory ofGases, Vacuum Production,PlasmaEtching,Sputtering, 27 BipolarTransistors 347 ResidualGasIncorporationintoDepositedFilm, FabricationProcess ofSBCBipolarTransistor, PECVD,ResidenceTime,Exercises AdvancedBipolarStructures,Lateral Isolation, References andRelated Reading BiCMOSTechnology,CostofIntegration, Exercises Referencesand RelatedReading 34 CVDandEpitaxyEquipment 433 DepositionRate,CVDRateModeling,CVD 28 MultilevelMetallization 357 Reactors,CVDwithLiquidSources,SiliconCVD Two-LevelMetallization,Planarized Multilevel Epitaxy,EpitaxialReactors,ControlofCVD Metallization,CopperMetallization,Dual Reactions,Exercises Damascene Metallization,Low-k Dielectrics, References andRelated Reading MetallizationScaling,Exercises Referencesand RelatedReading 35 Cleanrooms 441 CleanroomConstruction,CleanroomStandards, 29 SurfaceMicromachining 369 CleanroomSubsystems,Environment,Safety and SingleStructuralLayer Devices, Materialsfor Health(ESH),CleanroomOperatingProcedures, SurfaceMicromachining,Mechanics of Mini-Environments,Exercises Free-StandingFilms,CantileverStructures, References andRelated Reading viii Contents 36 YieldandReliability 449 MaterialsChallenges,StatisticsandYield,Limitsof YieldDefinitionsand Formulas,YieldModels, Scaling,Exercises YieldRamping,Package Reliability,Metallization Referencesand RelatedReading Reliability,DielectricDefectsand Quality, StressMigration,DieYieldLoss, 39 MicrofabricationatLarge 485 Exercises New Devices,Proliferationof MEMS, Referencesand RelatedReading Microfluidics,BioMEMS,Bondingand 3D Integration,IC–MEMSIntegration,Microfabricated 37 Economicsof Microfabrication 457 DevicesforMicrofabrication,Exercises Silicon,ICCostsand Prices,IC Industry,ICWafer Referencesand RelatedReading Fabs,MEMSIndustry,Flat-PanelDisplayIndustry, SolarCells,MagneticDataStorage,ShortTermand Appendix A Properties ofSilicon 499 LongTerm,Exercises Referencesand RelatedReading Appendix B ConstantsandConversion Factors 501 38 Moore’sLawandScalingTrends 469 FromTransistortoIntegratedCircuit,Historical Appendix C OxideandNitrideThickness DevelopmentofICManufacturing,MOSScaling, byColor 503 DeparturefromPlanar BulkTechnology, Memories,LithographyFuture,Moore’sLaw, Index 505 Preface to the First Edition Microfabrication is generic: its applications include gate oxides today are thinner than the ultimate limits integrated circuits, MEMS, microfluidics, micro-optics, conceived in the 1970s. And it is pedagogically better nanotechnology and countless others. Microfabrication to show applications of CVD films before plunging into is encountered in slightly different guises in all of these pressure dependence of deposition rate, and to discuss applications: electroplating is essential for deep sub- metal film functionalities before embracing sputtering micron IC metallization and for LIGA-microstructures; yieldmodels. deep-RIE is a key technology in trench DRAMs and in In this book, another major emphasis is on materials. MEMS; imprint lithography is utilized in microfluidics Materials are universal, and not outdated rapidly. New where typical dimensions are 100μm, as well as in materialsare,ofcourse,beingintroducedallthetime,but nanotechnology, where feature sizes are down to 10nm. the basic materials properties like resistivity, dielectric This book is unique because it treats microfabrication in constant, coefficient of thermal expansion and Young’s its own right, independent of applications, and therefore modulus must always be considered for low-k and itcanbeusedinelectricalengineering,materialsscience, high-k dielectrics, SnO sensor films, diamond coatings 2 physicsand chemistryclasses alike. and 100μm-thick photoresists alike. Silicon, silicon Instead of looking at devices, I have chosen to dioxide, silicon nitride, aluminium, tungsten, copper and concentrate on microstructures on the wafer: lines photoresist will be met again in various applications: and trenches, membranes and cantilevers, cavities and nitride is used not only in LOCOS isolation, but also in nozzles, diffusions and epilayers. Lines are sometimes MEMSthermalisolation;aluminiumnotonlyservesasa isolated and sometimes in dense arrays, irrespective of conductorinICsbutalsoasamirrorinMOEMS;copper linewidths;membranes can be made by timed etching or isusedforICmetallizationandalsoasasacrificiallayer byetchstop;source/draindiffusionscanbealignedtothe under nickel in metal MEMS; photoresist acts not only gate in a mask aligner or made in a self-aligned fashion; asaphotoactivematerialbutalsoasanadhesiveinwafer oxidationonaplanarsurfaceiseasy,buttheoxidationof bonding. topographic features is tricky. The microstructure-view Devices are, of course, discussed but from the of microfabrication is a solution against outdating: fabrication viewpoint, without thorough device physics. alignment must be considered for both 100μm fluidic The unifying idea is to discuss the commonalities and channels and 100nm CMOS gates, etch undercutting generic features of the fabrication processes. Resistors target may be 10nm or 10μm, but it is there; dopants andcapacitorsservetoexemplifyconceptslikealignment will diffuse during high temperature anneals, but the sequence and design rules, or interface stability. After junction depth target may be tens of nanometres or tens basic processes and concepts have been introduced, ofmicrometres. process integration examples show a wide spectrum of A common feature of older textbooks is concentration full process flows: for example, solar cell, piezoresistive on physics and chemistry: plasma potentials, boundary pressuresensor,CMOS,AFMcantilevertip,microfluidic layers, diffusion mechanisms, Rayleigh resolution, out-of-plane needle and super-self-aligned bipolar thermodynamic stability and the like. This is certainly transistor. Small process-sequence examples include, a guarantee against outdating in rapidly evolving similarly,avarietyofstructures:replacementgate,cavity technologies, but microfabrication is an engineering sealing, self-aligned rotors and dual damascene-low-k discipline, not physics and chemistry. CMOS scaling optionsare amongtheothers. trendshaveinfact been morereliablethanbasicphysics Older textbooks present microfabrication as a toolbox and chemistry in the past 40 years: optical lithography of MEMS or as the technology for CMOS manufactur- was predicted to be unable to print submicron lines and ing. Both approaches lead to unsatisfactory views on x Preface totheFirstEdition microfabrication. Ten years ago, chemical–mechanical are emphasized. Epitaxy, CVD, PVD, spin coating and polishing was not detailed in textbooks, and five years electroplating are discussed, with resulting materials ago discussion on CMP was included in multilevel propertiesand microstructureson thecentrestage, rather metallization chapter. Today, CMP is a generic tech- than equipment themselves. Lithography and etching nology that has applications in CMOS front-end device then follow. This order of presentation enables more isolation and surface micromechanics, and is used to realisticexamplestobediscussed earlyon. fabricate photonic crystals and superconducting devices. The basic steps in silicon technology, such as oxida- Itthereforedeservesachapterofitsown,independentof tion, diffusion and ion implantation are discussed next, actualorpotentialapplications.Similarly,wafercleaning followed by CMP and bonding. Moulding and stamp- used to be presented as a preparatory step for oxidation, ing techniques have also been included. In contrast to but it is also essential for epitaxy, wafer bonding and older books, and to books with CMOS device emphasis, CMP. Device-view, be it CMOS or some other, limits thisbookisstrongin back-end steps,thinfilms, etching, processes and materials to a few known practices, and planarizationandnovelmaterials.Thisreflectsthegrow- excludesmanyimportantaspectsthatarefruitfulinother ing importanceof multilevelmetallization in ICsas well applications. as the generic nature of etch and deposition processes, Theaimofthebookisforthestudenttofeelcomfort- and their wide applicability in almost all microfabrica- able both in a megafab and in a student lab. This means tionfields.Packagingisnotdealtwith,againinlinewith that both research-oriented and manufacturing-driven as- wafer-level view of microfabrication. This also excludes pects of microfabrication must be covered. In order to stereomicrolithographyandmanyminiaturizedtraditional keep the amount of material manageable, many things techniqueslikemicroelectrodischargemachining. have had to be left out: high density plasmas are men- Microfabricationisan engineeringdiscipline,and vol- tioned,buttheemphasis ison plasmaprocessing ingen- ume manufacturing of microdevices must be discussed. eral; KOH and TMAH etching are both described, but Discussions on process equipment have often been commonalitiesratherthandifferencesareshown;imprint bogged by the sheer number of different designs: should lithographyandhotembossingarediscussedbutpolymer the students be shown both 13.56MHz diode etcher, rheology is neglected; alternatives to optical lithography triode, microwave, ECR, ICP and helicon plasmas, and arementioned,butdiscussedonlybriefly.Emphasisison should APCVD, LPCVD, SA-CVD, UHV-CVD and common and conceptual principles, and not on the latest PECVD reactors all be presented? In this book, the technologies, which hopefully extends the usable life of process equipment discussion is again tied to structures thebook. that result on wafers, rather than in the equipment per se: base vacuum interaction with thin-film purity is discussed; the role of RTP temperature uniformity on Structure of the Book wafer stresses is considered; and surface reaction versus transport controlled growth in different CVD reactors is The structure of this book differs from the traditional analysed. Cleanroom technology, wafer fab operations, structure in many ways. Instead of discussing individ- yield and cost are also covered. Moore’s law and other ualprocessstepsatlengthfirstandputtingfullprocesses trends expose students to some current and future issues together in the last chapter, applications are presented inmicrofabricationprocesses,materialsandapplications. throughoutthebook.Thechaptersonequipmentaresep- In many cases, treatment has been divided into two arated from the chapters on processes in order to keep chapters: for example, Chapter 5 treats thin film basics, the basic concepts and current practical implementations andChapter7dealswithmoreadvancedtopics.Lithogra- apart. phyandetchinghavebeendividedsimilarly.Thisenables The introduction covers materials, processes, devices short or long course versions to be designed around the and industries. Measurements are presented next, and book. The figures from the book are available to teach- more examples of measurement needs in microfabrica- ers via the Internet. Please register at Wiley for access tion are presented in almost every chapter. A general www.wileyeurope.com/go/microfabrication. discussion of simulation follows, and more specific simulationcasesarepresentedinthechaptersthatfollow. Materials of microfabrication are presented next: Advice to Students silicon and thin films. Silicon crystal growth is shortly covered but from the very beginning, the discussion Thisbookisanintroductorytext.Basicuniversityphysics centres on wafers and structures on wafers: therefore, and chemistry suffices for background.Materialsscience silicon wafering process, and resulting wafer properties andelectronicscourseswillofcoursemakemanyaspects

See more

The list of books you might like

Most books are stored in the elastic cloud where traffic is expensive. For this reason, we have a limit on daily download.